Vivado 统计代码覆盖率

发布时间:2024年01月17日

1、代码覆盖率

????????是一种通过计算测试过程中被执行的源代码占全部源代码的比例,进而间接度量软件质量的方法。例如,它可以指示是否遍历所有分支和语句(如果切换了所有触发器)。

2、功能覆盖率

?????????提供有关测试平台覆盖被测单元功能行为的程度的信息。为了实现这一点,开发人员/验证工程师必须定义覆盖组和覆盖点。

????????代码覆盖率和功能覆盖率之间的区别在于,功能覆盖率需要使用需求。

????????当然,代码和功能覆盖率都需要规划代码设计。代码覆盖率可能有一些限制,因为它无法识别缺失的功能,也无法识别是否已解决所有可能的边界/极端情况值。代码覆盖率也不关心事件的顺序。这就是功能覆盖发挥作用的地方,因为它可以测试功能声明。

????????通常,代码和功能覆盖率需要昂贵的仿真工具,但是,通过 Vivado 2021.1 的 Vivado 仿真器就可以实现。使用 Vivado 时,开发人员能够验证其设计并确保 RTL 功能符合要求。

????????对于代码覆盖率,我们需要在项目设置中的“仿真”选项卡和细化设置下做的第一件事就是设置覆盖类型。在Vivado中我们可以设置以下选项:

Line / Statement (s) 行/语句

Branch (b) 分支

Condition (c) 条件

Toggle (t) 切换

????????我们还可以定义覆盖率报告名称和覆盖率目录的位置。运行仿真结束时生成覆盖信息。将能够在elaboration设置中指定的位置看到 codeCov 目录。为了创建功能覆盖,我们需要在 RTL 内创建覆盖组。

????????这是获取报告的数据库。我们需要在 Vivado 中进行更多处理,将数据库转换为报告。如果想了解 Vivado 仿真器的功能和代码覆盖率,最好的起点是UG937 Vivado 设计套件教程:逻辑仿真。

https://docs.xilinx.com/r/en-US/ug937-vivado-design-suite-simulation-tutorial/Tutorial-Description

????????这提供了几个设计示例,可用于测试 Vivado 仿真器功能的不同方面,包括代码/功能覆盖范围以及 UVM 支持。

????????功能和代码覆盖率示例基于 AXI VIP 参考设计。完成本教程并添加覆盖组将提供功能和代码覆盖率。

????????仿真完成后,我们需要运行 xcrg 命令来创建 HTML 报告。

生成的 HTML 报告可以在任何浏览器中打开。

单击组按钮将打开有关覆盖范围组的详细信息,在本示例中这比较简单。

运行代码覆盖率转换,可以看到生成的代码覆盖率报告。

单击右上角文件将显示每个文件的结果。


转自:【Vivado那些事儿】Vivado 仿真器和代码覆盖率-CSDN博客

文章来源:https://blog.csdn.net/qq_33300585/article/details/135658075
本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。