「HDLBits题解」Vectorgates

发布时间:2024年01月08日

本专栏的目的是分享可以通过HDLBits仿真的Verilog代码 以提供参考 各位可同时参考我的代码和官方题解代码 或许会有所收益

题目链接:Vectorgates - HDLBits

module top_module( 
    input [2:0] a,
    input [2:0] b,
    output [2:0] out_or_bitwise,
    output out_or_logical,
    output [5:0] out_not
);
    assign out_or_logical = a || b ; 
    assign out_or_bitwise = a | b ; 
    assign out_not[5:3] = ~b ; 
    assign out_not[2:0] = ~a ; 

endmodule
文章来源:https://blog.csdn.net/m0_54689021/article/details/135457868
本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。