「HDLBits题解」Vector2

发布时间:2024年01月08日

本专栏的目的是分享可以通过HDLBits仿真的Verilog代码 以提供参考 各位可同时参考我的代码和官方题解代码 或许会有所收益

题目链接:Vector2 - HDLBits

module top_module( 
    input [31:0] in,
    output [31:0] out );//

    // assign out[31:24] = ...;
    assign out[31:24] = in[7:0] ;
    assign out[23:16] = in[15:8] ; 
    assign out[15:8] = in[23:16] ; 
    assign out[7:0] = in[31:24] ; 

endmodule
文章来源:https://blog.csdn.net/m0_54689021/article/details/135457650
本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。