ignore_bins hi = {[6,7]}; //忽略数值6,7
illegal_bins hi = {[6,7]}; //如果出现6,7便报错
class Transaction;
rand bit [3:0] kind;
rand bit [2:0] port;
endclass
Transaction tr;
covergroup Covport;
kind: coverpoint tr.kind;
port: coverpoint tr.port;
cross kind, port; //交叉覆盖率
endgroup