「Verilog学习笔记」乘法与位运算

发布时间:2023年12月31日
专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

观察乘数的特点: 1111_1011 = 1_0000_0000 - 1 - 100

?

`timescale 1ns/1ns

module dajiang13(
    input  [7:0]    A,
    output [15:0]   B
	);

//*************code***********//
    
    assign B = (A << 8) - A - (A << 2) ; // num << n = num * (2^n)

//*************code***********//

endmodule
文章来源:https://blog.csdn.net/m0_54689021/article/details/135314981
本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。