14.9-时序和组合的混合逻辑——使用非阻塞赋值

发布时间:2024年01月04日

原则4:在同一个always块中描述时序和组合逻辑混合电路时,用非阻塞赋值。

1,在一个always块中同时实现组合逻辑和时序逻辑

将简单的组合逻辑和时序逻辑写在一起很方便。
当把组合逻辑额时序逻辑写入到一个always块中时,应遵从时序逻辑建模的原则,使用非阻塞赋值。

module	nbex2(q, a, b, clk, rst_n);
output		q;
input		clk, rst_n;
input		a, b;
reg			q;

always@(posedge clk or negedge rst_n)	
	if(!rst_n)
		q	<= 1'b0;	// 时序逻辑
	else
		q	<= a ^ b;	// 异或,组合逻辑
endmodule

2,将组合和时序逻辑分别写入两个always块中

module nbex1(q, a, b, clk, rst_n);
output		q;
input		clk, rst_n;
input		a, b;
reg			q, y;

always@(a or b)
	y = a ^ b;
	
always@(posedge clk or negedge rst_n)
	if(!rst_n)
		q	<= 1'b0;
	else 
		q	<= y;
endmodule
文章来源:https://blog.csdn.net/qq_21952195/article/details/135378887
本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。