数字电子技术实验——实验准备、基本操作流程介绍

发布时间:2024年01月19日

一、软件下载安装

? ? ? ? 实验使用QuartusⅡ 18.1版本,下载安装可在网上寻找教程。

二、实验器材

? ? ? ? 实验使用器件为EP4CE6E22C8?

????????MFB-5 型数字电路自主实验器

????????

电源线一条

下载线一条

三、基本操作流程

1、新建工程

主界面:File->New Project Wizard开始新建工程(必须要把程序放在工程里才能烧录到板子上)

直接Next

选择项目保存路径以及项目的名字(不要包含中文),然后Next

选Epmty project,然后Next

如果有写好的文件可以加入到该项目中,否则直接Next

选择EP4CE6E22C8,然后Next

直接Next

Finish完成工程创建

2、编写Verilog HDL代码

新建文件

File->New

选择Verilog HDL File

Ctrl S保存文件到该项目中

编写代码(此处随意写的)

3、编译——综合

点击红色圆圈内按钮开始编译(或者通过工具栏Processing->Start Compilation )

通过

4、仿真(Quartus-VWF仿真)

该仿真只能用于简单波形仿真,也可使用ModelSim进行仿真。(ModelSim仿真比较麻烦,此处暂时不介绍)

新建VWF向量波形文件File->New选择University Program VWF文件

插入测试结点

File->Insert->Insert Node

而后一直点击OK

保存VWF文件到项目中

设置输入波形A,开始仿真(工具栏Simulation->Run Functional?Simulation)

输出结果

5、下载

1、驱动安装

USB Blaster是FPGA的编程器(又称“下载线”),用于将设计好的电路烧写进FPGA中,它需要安装专门的驱动程序才能使用。

1、将下载线连接到电脑

2、鼠标右击“计算机”或“此电脑”,选择“管理”以打开“设备管理器”。

在设备管理器中找到USB Blaster,由于没安装驱动, 它会带黄色的感叹号。

右键选择更新驱动程序。

3、选择->浏览我的电脑以查找驱动程序

?浏览找到并选择Quartus安装目录里的Driver文件夹,勾选包括子文件夹

如果驱动安装失败,可尝试进入Windows安全中心

关闭内存完成性

重新尝试安装驱动

2、引脚分配

Assignments->Pin Planner

按要求分配好引脚

退出引脚分配界面回到主界面再重新编译工程

3、进入Quartus将程序烧录进FPGA

Tool->Programmer->Hardware Setup选择USB->Blaster。而后点击Start开始烧录。

进行实际测试。

文章来源:https://blog.csdn.net/gwlCSDN/article/details/135656026
本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。